rem rem Simple batch file to execute ghdl examples. rem Doug Rice, 06/03/2016 rem .\bin\ghdl -a junk128.vhdl .\bin\ghdl -e junk128 .\bin\ghdl -r junk128 --disp-tree --disp-time pause .\bin\ghdl -a doug.vhdl .\bin\ghdl -e doug_board .\bin\ghdl -r doug_board --vcd=doug_board.vcd --wave=doug_board.ghw --disp-tree --disp-time pause .\bin\ghdl -a hello.vhdl .\bin\ghdl -e hello_world .\bin\ghdl -r hello_world --vcd=hello.vcd --wave=hello.ghw pause rem goto adder_tb2 rem .\bin\ghdl -a adder.vhdl .\bin\ghdl -e adder .\bin\ghdl -r adder .\bin\ghdl -a adder_tb.vhdl .\bin\ghdl -e adder_tb .\bin\ghdl -r adder_tb --vcd=adder.vcd --wave=adder.ghw --disp-tree --disp-time .\bin\ghdl -r adder_tb --vcd=adder.vcd --wave=adder.ghw --disp-tree pause :adder_tb2 rem .\bin\ghdl -a adder_tb2.vhdl .\bin\ghdl -a adder2.vhdl .\bin\ghdl -e adder .\bin\ghdl -r adder2 .\bin\ghdl -a adder_tb2.vhdl .\bin\ghdl -e adder_tb rem .\bin\ghdl -r adder_tb --vcd=adder.vcd --wave=adder.ghw --disp-tree --disp-time .\bin\ghdl -r adder_tb --vcd=adder.vcd --wave=adder.ghw --disp-tree pause rem .\bin\ghdl -h .\bin\ghwdump.exe -h .\bin\ghwdump.exe -v -f all adder.ghw pause