rem rem Simple batch file to execute ghdl examples. rem Doug Rice, 06/03/2016 rem rem .\bin\ghdl -a -v counter.vhdl .\bin\ghdl -a -v counter2.vhdl .\bin\ghdl -e -v counter .\bin\ghdl -r -v counter .\bin\ghdl -a -v counter_tb.vhdl .\bin\ghdl -e -v counter_tb .\bin\ghdl -r -v counter_tb --vcd=counter_tb.vcd --disp-tree rem .\bin\ghdl -a -v counter.vhdl pause exit rem rem doug.vhdl and doug_tb.vhdl rem .\bin\ghdl -a -v doug.vhdl .\bin\ghdl -e -v doug_board .\bin\ghdl -r -v doug_board .\bin\ghdl -a -v doug_tb.vhdl .\bin\ghdl -e -v doug_tb .\bin\ghdl -r -v doug_tb --vcd=doug.vcd --disp-tree pause exit rem rem rem .\bin\ghdl -a hello.vhdl .\bin\ghdl -e hello_world .\bin\ghdl -r hello_world --vcd=hello.vcd --wave=hello.ghw goto adder_tb2 rem .\bin\ghdl -a adder.vhdl .\bin\ghdl -e adder .\bin\ghdl -r adder .\bin\ghdl -a adder_tb.vhdl .\bin\ghdl -e adder_tb rem .\bin\ghdl -r adder_tb --vcd=adder.vcd --wave=adder.ghw --disp-tree --disp-time .\bin\ghdl -r adder_tb --vcd=adder.vcd --wave=adder.ghw --disp-tree :adder_tb2 rem .\bin\ghdl -a adder2.vhdl .\bin\ghdl -e adder .\bin\ghdl -r adder .\bin\ghdl -a adder_tb2.vhdl .\bin\ghdl -e adder_tb rem .\bin\ghdl -r adder_tb --vcd=adder.vcd --wave=adder.ghw --disp-tree --disp-time rem .\bin\ghdl -r adder_tb --vcd=adder.vcd --wave=adder.ghw --disp-tree=inst .\bin\ghdl -r adder_tb --vcd=adder.vcd --wave=adder.ghw --disp-tree=inst --disp-time pause